Home Business News Eliyan Raises €40 Million to Develop Chiplet Interconnect Technologies

Eliyan Raises €40 Million to Develop Chiplet Interconnect Technologies

Eliyan Corporation, a startup that specializes in producing chiplet interconnects, announced it had received investment totaling around €40 million ($40 million).

The company recently closed a Series A funding round, which arrives as the company produces the final design of its industry standard 5-nanometer (nm) process.

Eliyan Corporation’s NuLink PHY and NuGear technologies offer a high performance, cost effective and commercially viable approach to “the connection of homogeneous and heterogeneous architectures on a standard, organic chip substrate.”

The chip allegedly offers a similar bandwidth, power efficiency and latency as iterations that use advanced packaging technologies. Unlike these offerings however, Eliyan says its chip does not share the pitfalls associated with these approaches.

Funding to develop Eliyan’s chiplet interconnects was made by Tracker Capital Management among others. (Photo: Public Domain)
Funding to develop Eliyan’s chiplet interconnects was made by Tracker Capital Management among others. (Photo: Public Domain)

What makes Eliyan’s chiplet packaging unique?

The company’s chiplet packaging is unique as it can cope with the scale of performance that is required from computer intensive applications for computer graphics, artificial intelligence, data centres, cloud computing and more.

Funding to develop Eliyan’s chiplet interconnects was released by Tracker Capital Management, L.P. Celesta Capital, Intel Capital, as well as Micron, among others.

Dr. Shaygan Kheradpir of Cerberus, former Group CIO and a founding member of the Executive Leadership Committee at Verizon, will also join the Board of Directors of Eliyan as part of the investment made by Tracker Capital back in February 2022.

What will the funding go towards?

Eliyan has explained that the funding from industry partners will go towards the production of its chiplet interconnect technology using the 5nm process by Taiwan Semiconductor Manufacturing. The funding will help speed up the design, testing, implementation and commercialisation of this chiplet interconnect technology.

According to the company, the design can achieve twice the bandwidth with less than half of the power consumption used by other interconnect methods, done via a standard manufacturing and packaging process.

Eliyan says it has crafted a sustainable solution by implementing chiplet-based systems in organic packages, which also allows for the “the creation of larger and higher performance solutions at considerably lower power and cost of materials,” as put in a press release.

“Technology scaling using conventional system on chip (SoC) architectures is hitting the wall, requiring a new approach in how we integrate and manufacture silicon. Our extensive background in developing bleeding-edge technologies in this space led us to focus on a key challenge: interconnect improvements for system-in-package and chip-to-memory architectures as the path to deliver performance scaling,” said Eliyan CEO and co-founder, Ramin Farjadrad.

“Our approach supports and is compliant with the overall industry move toward chiplet-optimised interconnect protocols, including the UCIe standard as well as High Bandwidth Memory (HBM) protocols. This financial investment by industry leaders and the successful implementation of our design in 5nm validates our strategy and prepares us for broader commercialization efforts.”

How Eliyan is advancing chiplet interconnect technologies

With Eliyan’s chiplets, product developers are able to scale the size, performance and power efficiency that is needed for high performance applications like computer graphics, artificial intelligence, data centres, cloud computing and more.

Analysts predict the semiconductor chiplet market sector can reach approximately €50 billion ($50 billion). High-bandwidth memory applications represent an additional €8 billion ($8 billion).

“Eliyan’s innovative approach to connecting multi-die chip architectures is achieved without the need for complex and advanced packaging solutions such as silicon interposers, says a press release This is essential to cost-effectively leveraging the potential of the fast-growing chiplet-based architectures that experts agree are the pathway to extend Moore’s Law.”

“Traditional methods of integrating multi-chip architectures impose challenges that result in high costs, low yield, manufacturing complexity, and size limitations. Eliyan has drawn upon its years of experience to develop a practical scheme that is also backward compatible with existing standards to chiplet interconnect and is optimised for delivering the necessary high bandwidth, low latency, and low power capabilities,” said Dr. Shaygan Kheradpir commented:

“We are confident its NuLink technology holds the key to a broader proliferation of chiplets in key market sectors such as hyperscalers, AI processor development, high-performance memory, and advanced graphics chips.”